Home
News
Products
Corporate
Contact
 
Thursday, April 25, 2024

News
Industry News
Publications
CST News
Help/Support
Software
Tester FAQs
Industry News

TSMC to add 6nm process offering


Friday, April 26, 2019

TSMC gave details on a new 6nm process expected to provide customers a simple migration from the company’s current 7nm node. The new node wasn’t part of the company’s original roadmap, which went from 7nm to 7nm+ and 5nm.

N6, TSMC’s terminology for the 6nm process, will have three advantages, according to CEO CC Wei, speaking at the company’s latest quarterly results announcement last week. N6 will have design rules that are 100% compatible with N7, allowing customers to directly migrate from N7, he said. In addition, N6 will increase logic density by 18% from N7 and provide a highly competitive performance-to-cost advantage. Finally, N6 will offer shortened cycle time and reduced defect density.

“The numbers N6 and N5 look pretty close, but actually the performance -- they still have a big gap,” Wei said. “N5 compared with N7, actually, the logic density increases by 80%. N6 compared with N7 is only 18%.”

N5 will deliver a significant jump in density and performance, but it will also be a full node upgrade. Unlike N6, it will require customers to design products from the ground up.

Risk production of N6 is scheduled for the first quarter next year with volume production starting before the end of 2020, according to TSMC.

The world’s two largest foundry rivals, Samsung and TSMC, both began to adopt EUV (extreme ultraviolet) lithography at the 7nm node. EUV is an important enabler helping foundries get to these smaller nodes. As they increase their reliance on EUV lithography to introduce newer, smaller nodes, customers are weighing the relative costs of their node options.

TSMC’s largest customer is Apple, followed by Chinese smartphone maker Huawei. A slowdown in sales of Apple iPhones has hurt TSMC’s growth.

The new 6nm node could be an option for Apple’s LCD replacement iPhone, with high-end models likely using 5nm EUV as TSMC is moving in about one tool per month into its Tainan fab from now through the first quarter next year for volume production, Credit Suisse analyst Randy Abrams told EE Times in an email.

Weak Demand

Several analysts at the results announcement noted demand for foundry services has been weak.

The adoption of 7nm+ has been rather slow this year, according to Abrams. A lot of customers are staying on 7nm for now, he said.

As the transition to EUV appears to be going smoothly, the main concern for some of TSMC’s fabless customers appears to be more about cost per transistor for 5nm, said UBS analyst Bill Lu.

Cost per transistor is still decreasing, but not as fast as it used to, Wei noted. TSMC believes that it has gained market share with the introduction of the 7nm node.

Samsung, TSMC’s main rival, on April 16 announced that development of its 5nm FinFET process technology is finished and ready for customer sampling. With the announcement, Samsung claimed leadership in the foundry business.

TSMC said N5, which entered risk production in the first quarter of this year, will have customer tape-outs starting this quarter and a volume production ramp in the first half of 2020.

Wei’s example of the gains possible moving from N7 to N5 was an Arm A72 core. He said an A72 would experience a 1.8X gain in logic density and a 15% speed gain going to the smaller node. TSMC expects most of its customers who are using 7nm will adopt 5nm.

With N5, we are expanding our customer product portfolio and increasing our addressable market, Wei said.

Scraping Bottom

Moving into the second quarter of this year, TSMC said it believes it may have passed the bottom of a downturn as the company sees customer demand stabilizing.

“We also expect our customers' overall inventory to be substantially reduced and approach the seasonal level around the middle of this year,” Wei said.

A decade ago, TSMC was growing at about twice the rate of the overall foundry industry, but the pace has slowed in recent years. The company said that starting from the second half of this year and during the next few years, TSMC will start to widen the gap.

“We have technology leadership,” Wei said. “We want to grow market share. We want to do a bigger business with higher profitability.”

The company said that by the second half of this year, its business will be supported by a healthier inventory base as well as strong demand for 7nm in new high-end smartphones, 5G equipment and high-performance computing-related hardware.

For 2019, the overall semiconductor market excluding memory as well as foundry will be flat, Wei said. TSMC will grow slightly in 2019, he added.

Given the fact that TSMC’s sales for the first half of this year are expected to be down year on year, the implication is that the company should see a fairly strong rebound in the final half of 2019.

Photoresist Snafu

TSMC said it was forced to scrap a large number of wafers as a result of a batch of bad photoresist from a chemical supplier in February this year. The photoresist contained a foreign polymer that impacted yields on 12- and 16-nanometer wafers at Fab 14B in southern Taiwan.

The company has taken corrective actions to enhance its defenses and minimize future risk, Wei said. TSMC said it has improved its tests, controls and methodologies with all suppliers for material quality certification. The company said it has also improved monitoring processes to prevent defect incidents.

TSMC is maintaining its 2019 capital expenditure for a range of $10 billion to $11 billion. About 80% of capex will be allocated for advanced process technologies, including 7nm, 5nm and 3nm. About 10% will be spent for advanced packaging and mask making, and about 10% will be spent for specialty technologies.

By: DocMemory
Copyright © 2023 CST, Inc. All Rights Reserved

CST Inc. Memory Tester DDR Tester
Copyright © 1994 - 2023 CST, Inc. All Rights Reserved